华为海思校园招聘-芯片-数字 IC 方向 题目分享——第七套

华为海思校园招聘-芯片-数字 IC 方向 题目分享——第七套

(共9套,有答案和解析,答案非官方,未仔细校正,仅供参考)

部分题目分享,完整版获取(WX:didadidadidida313,加我备注:CSDN huawei数字芯片题目,谢绝白嫖哈)

在这里插入图片描述
在这里插入图片描述

华为海思校园招聘-芯片-数字 IC 方向
(第七套)
1、下列说法正确的是(C)
A.设计异步 FIFO 时采用格雷码的原因主要是为了省功耗
B.对单比特控制信号打两拍后可以完全避免了亚稳态
C.异步处理需要考虑发送和接收时钟之间的频率关系
D.尽量将异步逻辑和同步逻辑剥离开,分别在不同的模块中实现
2、从综合出电路的电路看第一段代码比第二段代码优化的地方是(B)
第一段代码:
always @(posedge ck clk or negedge rst_n) beign
if(‐rst_n)
D<=1b0;
else if(A& B)
D<= A+B:
end
第二段代码:
always @(posedge clk or negedge rst_n)beign
if(‐rst_n)
D<=1’b0;
else if(A&B)
D <=A+B;
else
D<= 1’b0;
end
A 第一段代码比第二段代码简练,方便综合;
B.第一段代码比第二段代码省功耗;
C.第一段代码比第二段代码的电路简单;
D.第一段代码比第二段代码易于理解和实现;
3、logic [1:0] a; logic [1:0] b; logic result; a=2’b1z; b=2’b10; result=(ab);在 sv 中,上述代码执
行完后,result 的值为 1’b0(B)
A.正确
B.错误
解析:a
b 得到结果为 x
4、以下不能抑制异步电路问题的是(A)
A 寄存三拍
B.双向握手
C.格雷码转换
D.缓存输出
5、亚稳态对电路影响极大,下面哪种做法对降低亚稳态发生概率是无效的(C)
A 增加异步处理的打拍级数
B 使用专用亚稳态寄存器进行替换异步处理逻辑
C.提高异步处理电路的工作时钟
D.缩短异步处理打拍逻辑之间的 delay
6、无复位寄存器会引入不定态,因此设计中禁止使用无复位寄存器(B)
A 正确
B.错误
解析:在 IC 设计中,处于减少功耗和面积的考虑会存在部分的无复位寄存器和存储单元
7、bufif0 # (5:7:9, 8:10:12, 15:18:21)b1 (lo1.1o2, dir).其中第一个 5:7:9 表示什么
A min typ: max
B. rise; fall turn‐off
8、在时钟上升沿时采样别 start 有效开始,两个时钟周期后,信号“a”连续或者间断地出
现 3 次为高电平,紧接着信号“stop”在下一个时钟周期为高电平,转换成断言描述。以下
哪个是正确的©
A、property p0:
@(posedge clk) $rose (start)1 ##2 (a[=>3]) ##1 stop endpropery
a0 assert property(p0).
B、property p0:
@(posedge clk) $rose(start)|‐> ## 2 (a[*3]) ##1 stop.
endproperty
a0 assert property(p0):
C、property p0:
@(posedge clk) $rose(star)|‐> ##2 (a[‐>3]) ##1 stop;
endproperty
a0: assert property(p0),
D、 property p0:
@(posedge clk) $rose(start) |‐> ##2 (a[=3]) ##1 stop,
endproperty
a0: assert property(p0);
9、对于 FPGA 内部的 RAM 而言,以下哪个说法是错误的?(A)
A 配置成伪双端口 RAM 时,两个端口均有各自独立的读写控制信号
B.配置成伪双端口 RAM 时,两个端口,可以使用不同的时钟
C.配置成单端口 RAM 时,仅有一个时钟,用于读操作和写操作
D.配置成单端口 RAM 时,仅有一组访问地址,用于读操作或写操作
解析:FPGA 中的 RAM 有单端口、双端口和伪双端口之分.
单端口:
输入只有一组数据线和一组地址线,只有一个时钟,读写共用地址线。
输出只有一个端口。
所以单端口 RAM 的读写操作不能同时进行。
伪双端口:
输入有一组数据线,两组地址线,两个时钟。
两个输出端口共用一个输出端口。
所以一个端口只读,另一个端口只写,但写入和读取的时钟可以不同,且位宽比可以不是 1:1。
即允许写 A 的同时读 B,且速率可以不同。
双端口:
输入有两组地址线和两组数据线,两个时钟。
输出有两个分别的数据线。
所以双口 RAM 两个端口都分别带有读写端口,可以在没有干扰的情况下进行读写,彼此互
不干扰。
10、关于静态时序分析(STA)哪项说法是错误的?(D)
A. 不需要仿真向量
B. 不能验证设计的功能
C. 分析速度快
D. 可以分析同步路径和异步路径
11、验证环境中提到的参考模型(Reference Model)只支持不带时序的功能建模,不支持时序
建模(A)
A.错误
B.正确
12、如果该 class 会被继承,则该 class 所有定义的 function/task 都需要加 virtual(A)
A.错误
B.正确
13、下列选项表达式逻辑实现功能,与图中电路实现功能不同的是
A、 (A+S—) (B+S)
B、A·B+A·S+B·S—+S·S—
C、A·S+B·S—
D、A(B+S—)+5 (B+5S)
14、关于下面约束,说法正确的是() constraint ST{ (a0)‐> (b0) } (B)
A 如果 a! =0,则 b! =0
B 如果 b!=0,则 a!=0
C.如果 b0,则 a0
15、有关中断,以下说法不正确的是?(D)
A.芯片中断引脚送出的中断信号一般采用脉冲信号
B.中断读清方式是指在 CPU 完成对中断指示寄存器的读操作后将中断指示寄存器清零。
C.中断存在边缘触发方式和电平触发方式
D.1 根中断管脚可以过度多个芯片内部中断
16、以下低功耗措施中,哪种不是降低电路翻转率的方法?(A)
A 重新安排“if‐else”表达式,可将毛刺或快变化信号移至逻辑锥体的前部
B.采用 Gray 码或 One‐hot 码作为状态机编码
C.在不进行算术运算的时候,使这些模块的输入保持不变,不让新的操作数进来.
D.减少电路中的 glitch
解析:应该将毛刺或快变信号移至逻辑椎体的后部,if‐else 越往后,被选择的概率越小。可
以把逻辑延迟大的部分移至逻辑椎体的前部,来减小总的最大延迟,因为在前部意味着最小
的选择路径长度

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/568837.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

LayuiMini使用时候初始化模板修改(下载源码)

忘记加了 下载 地址 &#xff1a; layui-mini: layuimini&#xff0c;后台admin前端模板&#xff0c;基于 layui 编写的最简洁、易用的后台框架模板。只需提供一个接口就直接初始化整个框架&#xff0c;无需复杂操作。 LayuiMini使用时候初始化模板官网给的是&#xff1a; layu…

立即刷新导致请求的response没有来得及加载造成的this request has no response data available

1、前端递归调用后端接口 const startProgress () > {timer.value setInterval(() > {if (progress.value < 100) {time.value--;progress.value Math.ceil(100 / wait_time.value);} else {clearInterval(timer.value);progress.value 0;timer.value null;time.…

电磁兼容(EMC):静电放电(ESD)抗扰度试验深度解读(六)

目录 1. 静电测试干扰方式 2. 案例一 3. 案例二 4. 案例三 5. 案例四 6. 总结 静电放电测试的复杂性决定了这项测试对产品的主要影响方式也是多样的。标准里介绍了几种常见的影响方式&#xff1a; 1. 静电测试干扰方式 在静电放电试验中&#xff0c;测试了受试设备对于…

CDN、边缘计算与云计算:构建现代网络的核心技术

在数字化时代&#xff0c;数据的快速传输和处理是保持竞争力的关键。内容分发网络&#xff08;CDN&#xff09;、边缘计算和云计算共同构成了现代互联网基础架构的核心&#xff0c;使内容快速、安全地到达用户手中。本文将探讨这三种技术的功能、相互关系以及未来的发展趋势。 …

大语言模型微调过程中的 RLHF 和 RLAIF 有什么区别?

目前想要深入挖掘大型语言模型&#xff08;LLM&#xff09;的全部潜力需要模型与我们人类的目标和偏好保持一致。从而出现了两种方法&#xff1a;来自人类反馈的人力强化学习&#xff08;RLHF&#xff09;和来自人工智能反馈的人工智能驱动的强化学习&#xff08;RLAIF&#xf…

rosdep一键修复

External Player - 哔哩哔哩嵌入式外链播放器 rosdep失败原因 通常在执行rosdep init操作时就会报错&#xff0c;问题的核心在于rosdep会访问raw.githubusercontent.com这个网址下的资源&#xff0c;例如https://raw.githubusercontent.com/ros/rosdistro/master/rosdep/sour…

免费开源线上社交交友婚恋系统平台 可打包小程序 支持二开 源码交付!

婚姻是人类社会中最重要的关系之一&#xff0c;它对个人和家庭都有着深远的影响。然而&#xff0c;在现代社会的快节奏生活中&#xff0c;找到真爱变得越来越困难。在这个时候&#xff0c;婚恋产品应运而生&#xff0c;为人们提供了寻找真爱的新途径。 1.拓宽人际交流圈子 现代…

【Camera KMD ISP SubSystem笔记】CRM V4L2驱动模型

1. CRM为主设备 /dev/video0&#xff0c;先创建 v4l2_device 设备&#xff0c;再创建 video_device 设备&#xff0c;最后创建 media_device 设备/dev/media0 v4l2_device的mdev指向media_device&#xff0c;v4l2_device的entity链接到media_device的entities上&#xff08…

P1106 删数问题

本题为洛谷&#xff1a; #include<iostream> #include<string> using namespace std; int main(){string n;int k;cin>>n>>k;while(k--){for(int i0;i<n.length();i){if(n[i]>n[i1]){n.erase(i,1); break;} }for(int i0;i<n.length()-1&&…

SpringBoot学习之Kafka发送消费消息入门实例(三十五)

使用Kafka之前需要先启动fKafka,如何下载安装启动kafka请先参考本篇文章的前两篇: 《SpringBoot学习之Kafka下载安装和启动【Windows版本】(三十四)》 《SpringBoot学习之Kafka下载安装和启动【Mac版本】(三十三)》 一、POM依赖 1、加入kafka依赖 2、我的整个POM代码…

Jammy@Jetson Orin - Tensorflow Keras Get Started: 000 setup for tutorial

JammyJetson Orin - Tensorflow & Keras Get Started: 000 setup for tutorial 1. 源由2. 搭建环境2.1 安装IDE环境2.2 安装numpy2.3 安装keras2.4 安装JAX2.5 安装tensorflow2.6 安装PyTorch2.7 安装nbdiff 3. 测试DEMO3.1 numpy版本兼容问题3.2 karas API - model.compil…

Day 20 Linux的WEB服务——apache

WEB服务简介 目前主流的web服务器软件 Linux&#xff1a;apache &#xff0c; nginx Windows-server&#xff1a;IIS 服务器安装nginx或apache后&#xff0c;叫做web服务器&#xff08;又称WWW服务器&#xff09; web服务器软件属于C/S框架模型 web服务器是一种被动程序只…

单片机学习过程

继电器光耦隔离电压转换步进电机直流电机 arduino是最好用的一种&#xff0c;他提供了完整的设备库文件&#xff0c;任何外部设备只要查找相应的库&#xff0c;就可以很方便的使用 &#xff0c; 但是如果不去学习51 或stm32 或 嵌入式玩玩还可以&#xff0c;如果碰到没有实现的…

文字转粤语语音怎么转?文字转语音

文字转粤语语音怎么转&#xff1f;文字转粤语语音的应用&#xff0c;不仅展现了现代科技的魅力&#xff0c;也为我们提供了更加便捷的交流方式。它们将文字转化为粤语发音&#xff0c;让我们能够更直观地感受粤语的韵味和魅力。同时&#xff0c;这些软件还具备高度的可定制性&a…

【LeetCode】---118.杨辉三角

一、题目解析&#xff1a; 二、知识回顾&#xff1a; 1.二维数组&#xff1a; 2. C语言中的二维数组访问方式和vector二维数组的访问&#xff0c; 不同区别&#xff1a; &#xff08;1&#xff09;表面是一样的&#xff0c;但底层不同&#xff01; &#xff08;2&#xff09;静…

FSRCNN:加速超分辨率卷积神经网络,SRCNN的加速版

paper&#xff1a;https://arxiv.org/pdf/1608.00367 code: https://github.com/yjn870/FSRCNN-pytorch/tree/master 目录 1. 动机 2. 方法 3. 代码对比 4. 实验结果 1. 动机 作者此前提出的SRCNN证明了CNN在图像超分领域的有效性。然而&#xff0c;SRCNN计算效率较低&#…

Linux报错处理:‘abrt-cli status’ timed out

最近登录服务器时出现报错&#xff0c;后来查阅资料发现是因为ssh登录时间很久&#xff0c;登录后出现abrt-cli status timed out 的报错。 1.问题分析 abrt-cli是ABRT(Automated Bug Reporting Tool)的命令行接口&#xff0c;用于在Linux系统中处理和报告程序崩溃。 如果abr…

C语言实现扫雷游戏完整实现(上)

文章目录 前言一、新建好头文件和源文件二、实现游戏菜单选择功能三、定义游戏函数四、初始化棋盘五、 打印棋盘函数六、布置雷函数七、玩家排雷菜单八、标记功能的菜单九、标记功能菜单的实现总结 前言 C语言从新建文件到游戏菜单&#xff0c;游戏函数&#xff0c;初始化棋盘…

ElasticSearch笔记一

随着这个业务的发展&#xff0c;我们的数据量越来越庞大。那么传统的这种mysql的数据库就渐渐的难以满足我们复杂的业务需求了。 所以在微服务架构下一般都会用到一种分布式搜索的技术。那么今天呢我们就会带着大家去学习分布搜索当中最流行的一种ElasticSearch&#xff0c;Ela…

【折半处理 二分查找】1755. 最接近目标值的子序列和

本文涉及知识点 折半处理 二分查找算法合集 LeetCode1755. 最接近目标值的子序列和 给你一个整数数组 nums 和一个目标值 goal 。 你需要从 nums 中选出一个子序列&#xff0c;使子序列元素总和最接近 goal 。也就是说&#xff0c;如果子序列元素和为 sum &#xff0c;你需要…